FPGA/HDL 人员开发利器-TerosHDL(开源 IDE)

HDL 人员开发利器-TerosHDL(开源 IDE)

https://terostechnology.github.io/terosHDLdoc/about/about.html

TerosHDL是啥

TerosHDL 的目标是为 HDL 开发人员提供一个开源 IDE,该 IDE 具有软件开发人员常用的功能。IDE 包含一堆工具,其中最重要的是VSCode 插件。一些工具是由Teros Technology组织开发的,而另一些则来自开源项目。所有工具都组织在不同的后端,并通过插件暴露给 GUI。

安装

安装 VSCode

https ://code.visualstudio.com/

在扩展中搜索TerosHDLinside VSCode 并单击安装按钮:

31be11b7f1f1e0487cbebb3d78afb5ae.png

安装其他:

python

安装过程略。

2de0628e08efd3082082ba948fffc49d.png

make

正常安装,不用修改,安装完毕后将下面路径(make的安装路径)加入到系统环境中。

C:\Program Files (x86)\GnuWin32\bin

安装系统下TerosHDL

在vscode中点击左侧TerosHDL,在终端输入:

pip install teroshdl
69a10e23af1de9caeb574ec7a76075e2.png

完成后如下:

80fa4d29c717f497aad0442ae7a6f98b.png

检查缺少的环境

按照下图检查一下TerosHDL还缺少什么环境,自己按照需求按照即可。

ae4e6c9cf31ffc001042468546146af4.png

完成后如下:

0dec0cacb051794d88205a11af1c9c65.png

实例演示

我们新建一个状态机的.v文件,具体如下:

module fsm_sale(
input                   clk                 ,
input                   rst_n               ,
input      [1:0]        in                  ,
output reg [1:0]        out                 ,
output reg              out_vld
);
//---------------定义信号----------------------//
reg  [3:0]              state             ;

//---------------定义信号状态变量--------------------//
parameter S0           = 4'b0001           ;
parameter S1           = 4'b0010           ;
parameter S2           = 4'b0100           ;
parameter S3           = 4'b1000           ;

//---------------一段式状态机--------------------//

always @(posedge clk or negedge rst_n)begin
   if(!rst_n)begin
    state <= S0;
  out <= 0;
  out_vld <= 0;
   end
 else begin
    case(state)
     S0:begin
      if(in==1)begin
      state <= S1;out <= 0;out_vld <= 0;
    end
      else if(in==2)begin
        state <= S2;out <= 0;out_vld <= 0;
        end 
    else begin
      state <= state;out <= 0;out_vld <= 0;
    end
   end
     S1:begin
        if(in==1)begin
       state <= S2;out <= 0;out_vld <= 0;
    end
    else if(in==2)begin
       state <= S3;out <= 0;out_vld <= 0;
    end
    else begin
      state <= state;out <= 0;out_vld <= 0;
    end 
       end
       S2:begin
          if(in==1)begin
       state <= S3;out <= 0;out_vld <= 0;
      end
    else if(in==2)begin
       state <= S0;out <= 0;out_vld <=1;
    end
    else begin
       state <= state;out <= 0;out_vld <= 0;
    end
         end
     S3:begin
        if(in==1)begin
       state <= S0;out <= 0;out_vld <=1;
    end
    else if(in==2)begin
       state <= S0;out <= 1;out_vld <=1;
    end
    else begin
       state <= state;out <= 0;out_vld <= 0;
    end
       end
         default:state <= S0; 
  endcase
 end
end

endmodule

使用VSCode打开:

2b78296ac60a928f347d62c3e9aeb574.png

点击右上角的编译按钮,等待一会:

点击查看网表:

fb6f3ea6945cd4a0aa94ccde92a86f30.png

就能看到电路综合出来的网表:

2ab375c27c10e509d0d084f1927456b9.png

点击旁边的查看状态机就能看到状态机流程图:

4794bafa60755c3a8bb0512230b913c0.png

点击module文档说明,就能看到这个项目/文件自动生成的说明文档(极其中意的功能):

d7a513bb27e479d6a2ebc970703b9d90.png

导出来的文档如下:

Entity: fsm_sale

  • File: fsm_sale.v

Diagram

outside_default.png

Generics

Generic nameTypeValueDescription
S0
4'b0001
S1
4'b0010
S2
4'b0100
S3
4'b1000

Ports

Port nameDirectionTypeDescription
clkinput

rst_ninput

ininput[1:0]
outoutput[1:0]
out_vldoutput

Signals

NameTypeDescription
statereg  [3:0]

Processes

  • unnamed: ( @(posedge clk or negedge rst_n) )

    • Type: always

State machines

outside_default.png

总结

作为一个开源的IDE,功能比较完整,其中仿真部分没演示,大家可以自行探索,真的极其适合初学者,安装过程不复杂,都是常规的应用,下面给出来上面需要的安装文件。

https://github.com/suisuisi/teroshdl

其实我最中意的还是文档功能,对于维护HDL真的超级方便。

最后就是TerosHDL使用视频教程:

点下【在看】给小编加鸡腿

Hack电子
关注 关注
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
如何在vscode上配合modelsim写VHDL代码
Yetjj的博客
06-02 5367
前言 最近刚刚开始学习vhdl,外教使用的是modelsim和notepad++的组合,可惜博主用的是vscode,于是尝试探索如何在vscode上写vhdl文件。发现配置相关资料很少,尝试缝合了两篇文章才得以成功,希望其他人少走弯路 配置modelsim 目的是把modelsim的默认编辑器切换为vscode 在modelsim-transcript中输入 proc external_editor {filename linenumber} { exec "D:\\vscode\\Code.e
Verilog HDL语言在FPGA/CPLD开发中的应用
01-19
1 引言 近30年来,由于微电子...就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合标准化的发展方向而终成为IEEE标准。但与VHDL相比,Verilog HDL有个的
vscode-terosHDL:VHDL和VerilogSV IDE
05-12
指数 代码段和语法 Beta Verilog / SV原理图查看器 项目经理(目前仅支持VUnit 未来的工作 类似项目 1.简介 我们的理念是:思考硬件,开发硬件,利用软件工具。 TerosHDL的目标是使FPGA开发更容易,更可靠。 它是一个功能强大的开源IDE。 请访问文档网站以获取完整的IDE描述:( https://terostechnology.github.io/terosHDLdoc/ ) 2.谢谢 Verilog HDL / SystemVerilog( https://marketplace.visualstudio.com/items?itemName=mshr-h.VerilogHDL ) VUnit( https://vunit.github.io/ ) VSG( https://github.com/jeremiah-c-leary/vhdl-st
FPGA-Adaptive-Beamforming-and-Radar-Examples:用于波束成形和雷达设计的FPGA / HDL演示。-matlab开发
05-28
该存储库包含FPGA / HDL演示以及几种波束成形和雷达设计。 提供Simulink模型和MATLAB参考代码,以展示各种雷达和阵列处理算法的高级仿真和HDL设计。 演示列表: 用于RFSoC ZCU111的MVDR 4x4自适应波束形成
[翻译]:Artificial Intelligence for games 5.3 STATE MACHINES:状态机
weixin_33849215的博客
11-22 436
目录 Chapter 5 Decision Making 5.3 STATE MACHINES:状态机 Chapter 5 Decision Making 5.3 STATE MACHINES:状态机 Often, characters in a game will act in ...
MY VScode编辑器技巧
a1579990149wqh的博客
06-02 317
比较文件 :左侧导航栏选中两个文件,右击Compare Selected。Alt + 鼠标左键· :列选择,通过不断点击鼠标左键,进行多列选择。鼠标中按键· :列选择,类似与Notepad功能。ctrl + j :打开或关闭下侧中断窗口。ctrl + · :打开或关闭下侧中断窗口。crtl + b:打开或关闭左侧导航栏。
使用TerosHDL的Format自动完成排版
Timetictac的博客
01-07 1363
vscode自动排版
teroshdl使用(verilog)
bush4的博客
01-11 900
teroshdl中,sources中在需要的文件上打对勾,设置top-level。在runs中按箭头调出来modelsim仿真。1、语法检查:使用modelsim。a.把medelsim的环境变量设置好。
Simulink HDL Coder FPGA初级开发实践(二) LED流水灯
肆拾伍的博客
03-28 1198
前言: 本栏目除特别说明以外,均采用的黑金AX7103开发板,该开发板时钟频率为200M,并且是双端时钟,因此在每个项目中都有一段原语将双端时钟变成200MHz的单端时钟。文章仅作为学习记录,如有不足请在评论区指出,博主不会对各位的问题作出解答,请谅解。博主深知网络上关于HDL Coder的资料十分稀少,特别是中文资料几乎没有,并且官方给出的例子大多挺难不适合入门,因此将自己摸索的过程记录下来,希望给后人一些启发。 文章目录1. Simulink 模型2. 生成HDL代码3. 完整代码4. 完整使用流程.
windos VS Code 配置verilog插件 TerosHDL
a401183680的博客
10-26 765
鉴于网上现在的安装教程和TerosHDL不匹配了,现在安装成功,虽然有些错误仍不知道是什么措施解决的,不过留待这里尝试。同时检查依赖不再是💡检查了,而是这里的选项,同时也能调出来终端输入pip install teroshdl。不过我这个module,原理图都和示例不一样,不知道是版本更新了还是什么原因。TerosHDL官网,尽管上面有安装教程,但是不够具体,反正我没按这个来。主要问题在于pip 安装版本落后,以及python安装要是64位。安装warning的解决办法。
Altera FPGA/CPLD设计 基础篇-高级篇(第2版)
02-12
Altera FPGA/CPLD设计 基础篇-高级篇(第2版)。
研一上学期环境配置经验总结
qq_37073998的博客
06-24 158
Py环境配置一般不直接下Python,可以下Anaconda,Anaconda由conda发展而来,是python的一个发行版,下载Anaconda不但可以配置好Python环境 ,而且顺便还能把常用的第三方库装好,还有包管理功能,所以配Anaconda就好,安装流程参考:https://zhuanlan.zhihu.com/p/75717350。我的安装参考这篇帖子:https://blog.csdn.net/weixin_44065416/article/details/128656420。
用VsCode写Verilog
qq_40502147的博客
07-31 7244
通用的扩展应用有: 1.Bracket Pair Colorizer (用于多括号使用时用不多颜色高亮) 2.Code alignment (用于代码对齐) 3.GBKtoUTFB (文字格式转换) 4.hexdump for VSCode (十六进制文件读取) 5.Material Icon Theme (花里胡哨图标) 6.TabOut (跳出括号) 7.vscode-icons (花里胡哨文件夹图标) 专用的扩展应用有: 1.TCL (TCL语言脚本高亮) 2.TCL Lang
如何mysql数据导入到mongdb
codemami的博客
05-30 869
由于MySQL和MongoDB的数据模型不同(例如,MySQL使用关系模型,而MongoDB使用文档模型),你可能需要转换数据的格式。使用MongoDB驱动程序:你也可以使用MongoDB的官方驱动程序(如Python的pymongo)来编写脚本,将数据直接插入到MongoDB中。注意:如果你的JSON文件包含多个文档,并且它们不是作为数组的一部分(即每个文档都在其自己的行上),则需要使用--jsonArray选项。手动转换:对于小型数据集,你可以手动编辑SQL或CSV文件,将其转换为JSON格式。
linux服务器上使用tensorboard,错误记录
wwwwzm的博客
05-30 579
1. 使用tensorboard命令时,不是从虚拟环境中找tensorboard,而是从(全局路径)中找(/home/ljx/.local/lib/python3.9/site-packages/tensorboard)是一个在 Unix-like 系统(包括 Linux 和 macOS)的命令行界面(如 Bash shell)中使用的命令。2.使用which命令, 查看使用的tensorboard的路径,发现使用的是全局路径,不是虚拟环境路径。是一个特殊的变量,它定义了操作系统搜索可执行文件的目录。
Pandas03
Bianca427的博客
05-27 1300
聚合计算时新增一列计算最大值与平均值的差值df.groupby('district').agg(最低工资=('salary', 'min'), 最高工资=('salary', 'max'), 平均工资=('salary', 'mean'), 最大值与均值差值=('salary', myfunc)).rename_axis(["行政区"])
深入理解Python中None和““的区别
m0_54701273的博客
05-27 841
Python的世界里,None和空字符串""经常被用作默认值或用于表示缺省值的情况。尽管它们在某些语境下似乎可互换,但实际上None和""在Python中有着根本的区别。
Python自动化脚本:文件分类
最新发布
svygh123的专栏
06-01 124
要使用Python根据文件类型进行分类,可以遍历指定目录下的所有文件,检查每个文件的扩展名,然后根据扩展名将文件移动到对应的文件夹中。
fpga verilog hdl 系列实例--------8-3编码器
11-24
8-3编码器是一种数字电路,常用于将8个输入信号编码成3位二进制输出信号。FPGA是一种可编程逻辑设备,可用于实现各种数字电路功能。Verilog HDL(Hardware Description Language)是一种硬件描述语言,用于描述数字电路的结构和功能。 使用Verilog HDL实现8-3编码器可以通过下面的代码实现: ```verilog module encoder_8_3 ( input [7:0] input_signals, output reg [2:0] output_encoding ); always @(*) begin case (input_signals) 8'b00000001: output_encoding = 3'b000; 8'b00000010: output_encoding = 3'b001; 8'b00000100: output_encoding = 3'b010; 8'b00001000: output_encoding = 3'b011; 8'b00010000: output_encoding = 3'b100; 8'b00100000: output_encoding = 3'b101; 8'b01000000: output_encoding = 3'b110; 8'b10000000: output_encoding = 3'b111; default: output_encoding = 3'b000; // 默认情况下,输出编码为000。 endcase end endmodule ``` 在上述代码中,encoder_8_3模块接收8个输入信号(input_signals),并通过case语句将其编码成3位二进制输出(output_encoding)。根据输入信号的不同组合,输出信号的编码也不同。当输入信号的组合没有匹配的情况时,模块将输出默认的编码(000)。 通过使用FPGA平台和该Verilog HDL代码,可以将8个输入信号编码成3位输出信号的8-3编码器功能实例化和实现。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • AXI协议规范超详细中文总结版 28333
  • Windows中WSL2 配置运行GNOME桌面版 Ubuntu 18918
  • 2022中国大学专业排名公布:集成电路相关专业哪家强? 11555
  • 国内EDA企业大盘点 11404
  • Vivado 常见Warning问题解决方法说明 8817

最新评论

  • 利用FPGA实现UDP网络高速可靠传输

    jason263: 问题是还只转载了一部分,就没了

  • FPGA基础-奇偶校验器设计

    一粟_: “四、总结 Tips:判断计数器是奇数还是偶数主要有判断data_out[0]和取余数两种方法,前者综合后耗损的资源太多不推荐前者。” 这里应该是后者(取余数)消耗资源多吧

  • ov5640帧率配置_OV5640摄像头开窗大小,输出窗口大小,帧率等设置

    persistever: ov5640能配置15S长曝光嘛?

  • 采用FPGA实现FFT算法

    m0_74398970: 博主你好!我有个疑问,就这个蝶形运算单元由于加减法的存在,输出位宽应该增加一位,即下级乘法器的输入位宽就会变成17×16,我这里也应该是采取截断变成16×16bit吗?

  • USB2.0通信原理及电路设计

    Oo饿不死oO: 真优质资源 +1

您愿意向朋友推荐“博客详情页”吗?

  • 强烈不推荐
  • 不推荐
  • 一般般
  • 推荐
  • 强烈推荐
提交

最新文章

  • 谈谈FPGA工程师如何做ISP
  • Vivado中的FFT IP核使用
  • CAN、I2S、I2C、SPI、SSP总线的介绍和比较
2024
05月 23篇
04月 25篇
03月 32篇
02月 29篇
01月 40篇
2023年368篇
2022年286篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

两个鬼故事稀有私服论坛2008nba总决赛雪花啤酒批发教研组活动记录农场起名满氏起名大全给读书会起个雅致的名字党员公开承诺书女鼠宝起名公司起名医疗器械经营姓顾的的男孩起名孩子的起名测试马致远简介超级好记的起名qq名片赞刷网站起低价开公司起名什么字好观书有感其一个人情感公众号起名源静香出生日期起名字什么小说好看美容名字大全起名大全送给宝宝起个好名字男龙灵墨修生辰八字婴儿起名打分起名周易公司起名男孩姓包起名字大全注册汽车服务公司起名免费起名字2021起名男宝宝江湖奇兵少年生前被连续抽血16次?多部门介入两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”淀粉肠小王子日销售额涨超10倍高中生被打伤下体休学 邯郸通报单亲妈妈陷入热恋 14岁儿子报警何赛飞追着代拍打雅江山火三名扑火人员牺牲系谣言张家界的山上“长”满了韩国人?男孩8年未见母亲被告知被遗忘中国拥有亿元资产的家庭达13.3万户19岁小伙救下5人后溺亡 多方发声315晚会后胖东来又人满为患了张立群任西安交通大学校长“重生之我在北大当嫡校长”男子被猫抓伤后确诊“猫抓病”测试车高速逃费 小米:已补缴周杰伦一审败诉网易网友洛杉矶偶遇贾玲今日春分倪萍分享减重40斤方法七年后宇文玥被薅头发捞上岸许家印被限制高消费萧美琴窜访捷克 外交部回应联合利华开始重组专访95后高颜值猪保姆胖东来员工每周单休无小长假男子被流浪猫绊倒 投喂者赔24万小米汽车超级工厂正式揭幕黑马情侣提车了西双版纳热带植物园回应蜉蝣大爆发当地回应沈阳致3死车祸车主疑毒驾恒大被罚41.75亿到底怎么缴妈妈回应孩子在校撞护栏坠楼外国人感慨凌晨的中国很安全杨倩无缘巴黎奥运校方回应护栏损坏小学生课间坠楼房客欠租失踪 房东直发愁专家建议不必谈骨泥色变王树国卸任西安交大校长 师生送别手机成瘾是影响睡眠质量重要因素国产伟哥去年销售近13亿阿根廷将发行1万与2万面值的纸币兔狲“狲大娘”因病死亡遭遇山火的松茸之乡“开封王婆”爆火:促成四五十对奥巴马现身唐宁街 黑色着装引猜测考生莫言也上北大硕士复试名单了德国打算提及普京时仅用姓名天水麻辣烫把捣辣椒大爷累坏了

两个鬼故事 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化