FPGA/HDL 人员开发利器-TerosHDL(开源 IDE)

28 篇文章 20 订阅
订阅专栏

HDL 人员开发利器-TerosHDL(开源 IDE)

https://terostechnology.github.io/terosHDLdoc/about/about.html

TerosHDL是啥

TerosHDL 的目标是为 HDL 开发人员提供一个开源 IDE,该 IDE 具有软件开发人员常用的功能。IDE 包含一堆工具,其中最重要的是VSCode 插件。一些工具是由Teros Technology组织开发的,而另一些则来自开源项目。所有工具都组织在不同的后端,并通过插件暴露给 GUI。

安装

安装 VSCode

https 😕/code.visualstudio.com/

在扩展中搜索TerosHDLinside VSCode 并单击安装按钮:

在这里插入图片描述

安装其他:

python

安装过程略。

make

正常安装,不用修改,安装完毕后将下面路径(make的安装路径)加入到系统环境中。

C:\Program Files (x86)\GnuWin32\bin

安装系统下TerosHDL

在vscode中点击左侧TerosHDL,在终端输入:

pip install teroshdl

在这里插入图片描述

完成后如下:

在这里插入图片描述

检查缺少的环境

按照下图检查一下TerosHDL还缺少什么环境,自己按照需求按照即可。

在这里插入图片描述

完成后如下:

在这里插入图片描述

实例演示

我们新建一个状态机的.v文件,具体如下:

module fsm_sale(
input                   clk                 ,
input                   rst_n               ,
input      [1:0]        in                  ,
output reg [1:0]        out                 ,
output reg              out_vld
);
//---------------定义信号----------------------//
reg  [3:0]              state             ;

//---------------定义信号状态变量--------------------//
parameter S0           = 4'b0001           ;
parameter S1           = 4'b0010           ;
parameter S2           = 4'b0100           ;
parameter S3           = 4'b1000           ;

//---------------一段式状态机--------------------//

always @(posedge clk or negedge rst_n)begin
   if(!rst_n)begin
    state <= S0;
  out <= 0;
  out_vld <= 0;
   end
 else begin
    case(state)
     S0:begin
      if(in==1)begin
      state <= S1;out <= 0;out_vld <= 0;
    end
      else if(in==2)begin
        state <= S2;out <= 0;out_vld <= 0;
        end 
    else begin
      state <= state;out <= 0;out_vld <= 0;
    end
   end
     S1:begin
        if(in==1)begin
       state <= S2;out <= 0;out_vld <= 0;
    end
    else if(in==2)begin
       state <= S3;out <= 0;out_vld <= 0;
    end
    else begin
      state <= state;out <= 0;out_vld <= 0;
    end 
       end
       S2:begin
          if(in==1)begin
       state <= S3;out <= 0;out_vld <= 0;
      end
    else if(in==2)begin
       state <= S0;out <= 0;out_vld <=1;
    end
    else begin
       state <= state;out <= 0;out_vld <= 0;
    end
         end
     S3:begin
        if(in==1)begin
       state <= S0;out <= 0;out_vld <=1;
    end
    else if(in==2)begin
       state <= S0;out <= 1;out_vld <=1;
    end
    else begin
       state <= state;out <= 0;out_vld <= 0;
    end
       end
         default:state <= S0; 
  endcase
 end
end

endmodule

使用VSCode打开:

在这里插入图片描述

点击右上角的编译按钮,等待一会:

点击查看网表:

在这里插入图片描述

就能看到电路综合出来的网表:
在这里插入图片描述

点击旁边的查看状态机就能看到状态机流程图:
在这里插入图片描述

点击module文档说明,就能看到这个项目/文件自动生成的说明文档(极其中意的功能):

在这里插入图片描述

导出来的文档如下:

Entity: fsm_sale

File: fsm_sale.v

Diagram

在这里插入图片描述

Generics

在这里插入图片描述

Ports

在这里插入图片描述

Signals

在这里插入图片描述

Processes

unnamed: ( @(posedge clk or negedge rst_n) )
Type: always

State machines

在这里插入图片描述

总结

作为一个开源的IDE,功能比较完整,其中仿真部分没演示,大家可以自行探索,真的极其适合初学者,安装过程不复杂,都是常规的应用,下面给出来上面需要的安装文件。

https://github.com/suisuisi/teroshdl

其实我最中意的还是文档功能,对于维护HDL真的超级方便。

最后就是 TerosHDL使用视频教程

参考设计: 《FPGA/HDL 人员开发利器-TerosHDL(开源 IDE)》

vscode-terosHDL:VHDL和VerilogSV IDE
05-12
指数 代码段和语法 Beta Verilog / SV原理图查看器 项目经理(目前仅支持VUnit 未来的工作 类似项目 1.简介 我们的理念是:思考硬件,开发硬件,利用软件工具。 TerosHDL的目标是使FPGA开发更容易,更可靠。 它是一个功能强大的开源IDE。 请访问文档网站以获取完整的IDE描述:( https://terostechnology.github.io/terosHDLdoc/ ) 2.谢谢 Verilog HDL / SystemVerilog( https://marketplace.visualstudio.com/items?itemName=mshr-h.VerilogHDL ) VUnit( https://vunit.github.io/ ) VSG( https://github.com/jeremiah-c-leary/vhdl-st
FPGA-Adaptive-Beamforming-and-Radar-Examples:用于波束成形和雷达设计的FPGA / HDL演示。-matlab开发
05-28
该存储库包含FPGA / HDL演示以及几种波束成形和雷达设计。 提供Simulink模型和MATLAB参考代码,以展示各种雷达和阵列处理算法的高级仿真和HDL设计。 演示列表: 用于RFSoC ZCU111的MVDR 4x4自适应波束形成
vscode开发FPGA(1)---TEROS_HDL插件报错
zidan1412的博客
01-23 1128
vscode使用 TEROS_HDL插件报错
推荐开源项目:TerosHDL - 您的高效硬件描述语言开发环境
最新发布
gitblog_00056的博客
05-22 224
推荐开源项目:TerosHDL - 您的高效硬件描述语言开发环境 项目地址:https://gitcode.com/TerosTechnology/vscode-terosHDL 1、项目介绍 在电子设计自动化领域,TerosHDL是一款专为硬件描述语言(HDL开发者打造的开源集成开发环境(IDE)。基于Visual Studio Code插件,它提供了一系列强大的工具,将常见的软件开发功能引入...
数字EDA研究之Yosys简介
阿甘的专栏
03-20 1141
开源逻辑综合工具-Yosys简介
windos VS Code 配置verilog插件 TerosHDL
a401183680的博客
10-26 765
鉴于网上现在的安装教程和TerosHDL不匹配了,现在安装成功,虽然有些错误仍不知道是什么措施解决的,不过留待这里尝试。同时检查依赖不再是💡检查了,而是这里的选项,同时也能调出来终端输入pip install teroshdl。不过我这个module,原理图都和示例不一样,不知道是版本更新了还是什么原因。TerosHDL官网,尽管上面有安装教程,但是不够具体,反正我没按这个来。主要问题在于pip 安装版本落后,以及python安装要是64位。安装warning的解决办法。
使用TerosHDL的Format自动完成排版
Timetictac的博客
01-07 1363
vscode自动排版
利用开源FPGA设计工具Apio进行FPGA设计(更新中)
qq_45360186的博客
12-20 403
nono
用于 Verilog HDL的基于 Python 的硬件设计处理工具包_python_Verilog_代码_下载
07-04
Pyverilog 是用于 Verilog HDL开源硬件设计处理工具包。所有源代码都是用 Python 编写的。 Pyverilog 包括(1) 代码解析器、(2) 数据流分析器、(3) 控制流分析器和 (4) 代码生成器。您可以基于此工具包创建自己的 Verilog HDL 设计分析器、代码转换器和代码生成器。 工具 该软件包括用于 Verilog HDL 设计的各种工具。 vparser:代码解析器,用于从 Verilog HDL 的源代码生成 AST(抽象语法树)。 dataflow:带有优化器的数据流分析器,用于删除冗余表达式和一些数据流处理工具。 controlflow:带有条件分析器的控制流分析器,用于识别信号何时被激活。 ast_code_generator:来自 AST 的 Verilog HDL 代码生成器。 入门 首先,请准备一个 Verilog HDL 源文件,如下所示。文件名为“test.v”。此示例设计在启用信号被置位时在内部添加输入值。然后将其部分值输出到 LED。 更多详情、使用方法,请下载后阅读README.md文件
Verilog HDL语言在FPGA/CPLD开发中的应用
01-19
1 引言 近30年来,由于微电子...就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合标准化的发展方向而终成为IEEE标准。但与VHDL相比,Verilog HDL有个的
Altera FPGA/CPLD设计 基础篇-高级篇(第2版)
02-12
Altera FPGA/CPLD设计 基础篇-高级篇(第2版)。
fpga-toolchain:每晚构建多平台的开源FPGA工具
04-13
FPGA工具链 介绍 每晚构建多平台的开源FPGA工具。 目前包括: :具有广泛的Verilog 2005支持的RTL综合 :实验性的VHDL合成,内置在Yosys中为您带来方便! :支持Yosys插件的CLI工具 :基于Yosys的正式硬件验证 : 引擎 : 引擎 :SymbiYosys引擎 :用于莱迪思ECP5比特流的工具 :用于莱迪思ICE40比特流的工具 :ICE40和ECP5架构的时序驱动布局和路由 dfu-util :设备固件升级实用程序 ecpprog :用于基于FTDI的JTAG探针的基本驱动程序,用于对ECP5 FPGA进行编程 openFPGALoader :用于对FPGA进行编程的通用实用程序 这些工具正在积极开发中(这些构建脚本也正在开发中),因此请做好准备,以防时不时地发生问题。 在大多数情况下,您应该能够在等待修复时回滚到旧版本。 每个项
基于FPGAIDE硬盘接口卡的实现
08-04
本文采用FPGA实现了IDE硬盘接口协议。系统采用FPGA实现接口协议,完成接口数据的截获、处理(在本文中主要是数据加密)和转发,支持PIO和Ultra DMA两种数据传输模式。
Verily HDL
10-04
Verilog是一种国际化的硬件描述语言,目前在EDA中已经十分流行,并且成为当今硬件工程师使用的主要硬件描述语言之一。在如今的电子系统设计领域中,已经成为了广大技术人员必须掌握的一种硬件描述语言。
X-HDL3.2.52软件,是VHDL和verilogHDL转换软件
07-26
X-HDL3.2.52软件,是VHDL和verilogHDL转换软件,免费下载哦
xilinx 14.7 lincence 破解 证书 IDE FPGA CPLD 专业版 下载
07-04
Xilinx ISE 14.7是一款专业的电子设计套件,也是目前的最新版本,全面支持win8和win8.1系统。Xilinx ISE为设计流程的每一步都提供了直观的生产力增强工具,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。除了功能完整,使用方便外,它的设计性能也非常好,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能,可以达到最佳的硬件设计,是FPGA的必备的设计工具。
hdl - 软件编程与硬件建模
weixin_34391854的博客
01-10 178
https://mp.weixin.qq.com/s/Y75I9e3s4fDPZuv-CyiNDA 介绍软件编程思维与硬件建模思维的不同与相似之处。 ​​ 1. 软件编程思维 编程,意指编写程序; 程序,即过程的顺序; 软件程序具有天然的串行特性: a. 汇编级别需要等前一条指令执行完成,才能执行下一条指令; b. 语言级别需要等前一条语句执行完成,...
teroshdl使用(verilog)
bush4的博客
01-11 900
在teroshdl中,sources中在需要的文件上打对勾,设置top-level。在runs中按箭头调出来modelsim仿真。1、语法检查:使用modelsim。a.把medelsim的环境变量设置好。
teroshdl 布局布线
12-19
teroshdl是一种常用于芯片设计的布局布线工具。它可以帮助设计师将不同的逻辑单元合理地布局在芯片上,并通过合适的布线方式连接它们,以实现芯片的功能。 在布局方面,teroshdl可以根据设计要求和芯片规模,自动规划出最佳的逻辑单元布局方案。通过合理的布局,可以最大限度地减小信号传输的延迟和功耗,从而提高芯片的性能和效率。 而在布线方面,teroshdl可以根据设计要求和布局结果,自动进行布线的路径规划和优化。通过合理的布线,可以最大限度地减小信号传输的串扰和延迟,从而提高芯片的稳定性和可靠性。 总而言之,teroshdl的布局布线功能可以帮助设计师实现芯片设计的功能要求,并优化性能和稳定性。它是芯片设计过程中不可或缺的工具,也是提高设计效率和质量的重要保障。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • M.2、mSATA、NGFF、miniPCI-e接口引脚定义 40183
  • DC-DC电路设计要点 及 计算 全解析 33763
  • MOS电平转换,1.8V转3.3V,3.3V转1.8V 24285
  • STM32 Keil5报错-Target uses ARM-Compiler ‘Default Compiler Version 5‘ which is not available-安装编译器解决 23898
  • msata、mini pcie 、pcie x4接口引脚定义及原理图方案设计 23789

分类专栏

  • AC/DC-DC 4篇
  • 实用工具 6篇
  • 电源 63篇
  • 开关电源 4篇
  • PADS 4篇
  • 电机驱动 2篇
  • 硬件设计-基础知识汇总 66篇
  • STM32 35篇
  • 运放 78篇
  • AD 7篇
  • PDN 2篇
  • MOS 19篇
  • 电路设计 134篇
  • FPGA 28篇
  • CMOS 5篇
  • 接口 11篇
  • 原理图 21篇
  • linux 1篇
  • 信号完整性
  • EMC 58篇
  • Allegro 39篇
  • 嵌入式 1篇
  • 软件安装 1篇
  • 可靠性分析 1篇
  • AD/DA 1篇
  • IBIS 3篇
  • Sigrity仿真 9篇
  • 海思 27篇
  • 电感 4篇
  • RK356X 3篇
  • capture 17.2 7篇
  • PCB 7篇
  • LCD 5篇
  • DDR3 14篇
  • 时序 1篇
  • ESD 1篇
  • 电平转换 3篇
  • 海思-Hi3518E视频学习 3篇
  • Altera 1篇
  • 过孔 1篇
  • 阻抗计算 1篇
  • 叠层设计 1篇
  • TTL 1篇

最新评论

  • Altium Designer输出生产文件Gerber、IPC、NC Drill、坐标文件--AD

    椰shj: 现在知道了吗,我也有这个问题表情包表情包

  • RS485通讯上下拉电阻的选择

    weixin_45687560: 至今为止我看到的还是200mA

  • 反激式开关电源-9反激式变压器计算

    ltqshs: 你说的是第几步

  • 反激式开关电源-9反激式变压器计算

    杂学: 整流后最低输入电压Vin(min) Vin(min)=85*1.414-20=100Vdc 你好,我想问一下这里计算整流后最低输入电压为什么要减20

  • OrCAD Capture CIS 封装库的路径设置

    -Ewan-: 感谢,已解决

大家在看

  • vue3 + openlayers---仿高德导航-箭头线 96
  • Vitis HLS 学习笔记--FRP自由运行流水线 138
  • 赚钱:投资自己,全力以赴,静待花开 108
  • Vitis HLS 学习笔记--控制驱动与数据驱动混合编程 240
  • linux shell实现对tomcat进行重启或维护 51

最新文章

  • 揭秘DC-DC转换器设计:环路补偿如何影响你的电源稳定性---电源设计
  • 技术实例 | 开关电源环路测量时,注入信号的幅值对测量结果的影响
  • 反激式开关电源-9变压器设计-DCM模式
2024年31篇
2023年129篇
2022年318篇
2021年48篇
2020年18篇

目录

目录

评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ltqshs

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或 充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

两个鬼故事起名大全五行缺金局促不安的意思psv山脊赛车明升集团电商公司名称怎么起名大全王鹤棣新恋情楚辞女起名电信宽带价格建筑公司起名大全参考写给母校起个名字梦见吃火锅林建海书吧起名字鬼婴开店取名起名大全测试林正英的电影商标起名大全免费名字重生嫡女有空间吊装公司起名称大全集郝云免费八字起姓名测试打分结果公司起名 海中国十大起名大师排名金融超市网家居用品 起名企业精神文化八字 起名网站2020年5月份宝宝起名游戏王国语我长大了作文少年生前被连续抽血16次?多部门介入两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”淀粉肠小王子日销售额涨超10倍高中生被打伤下体休学 邯郸通报单亲妈妈陷入热恋 14岁儿子报警何赛飞追着代拍打雅江山火三名扑火人员牺牲系谣言张家界的山上“长”满了韩国人?男孩8年未见母亲被告知被遗忘中国拥有亿元资产的家庭达13.3万户19岁小伙救下5人后溺亡 多方发声315晚会后胖东来又人满为患了张立群任西安交通大学校长“重生之我在北大当嫡校长”男子被猫抓伤后确诊“猫抓病”测试车高速逃费 小米:已补缴周杰伦一审败诉网易网友洛杉矶偶遇贾玲今日春分倪萍分享减重40斤方法七年后宇文玥被薅头发捞上岸许家印被限制高消费萧美琴窜访捷克 外交部回应联合利华开始重组专访95后高颜值猪保姆胖东来员工每周单休无小长假男子被流浪猫绊倒 投喂者赔24万小米汽车超级工厂正式揭幕黑马情侣提车了西双版纳热带植物园回应蜉蝣大爆发当地回应沈阳致3死车祸车主疑毒驾恒大被罚41.75亿到底怎么缴妈妈回应孩子在校撞护栏坠楼外国人感慨凌晨的中国很安全杨倩无缘巴黎奥运校方回应护栏损坏小学生课间坠楼房客欠租失踪 房东直发愁专家建议不必谈骨泥色变王树国卸任西安交大校长 师生送别手机成瘾是影响睡眠质量重要因素国产伟哥去年销售近13亿阿根廷将发行1万与2万面值的纸币兔狲“狲大娘”因病死亡遭遇山火的松茸之乡“开封王婆”爆火:促成四五十对奥巴马现身唐宁街 黑色着装引猜测考生莫言也上北大硕士复试名单了德国打算提及普京时仅用姓名天水麻辣烫把捣辣椒大爷累坏了

两个鬼故事 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化