Skip to content
/ HUST-Verilog-Course Public
  • Notifications
  • Fork 7
  • Star 44

华中科技大学计算机学院 Verilog 语言课程

License

44 stars 7 forks Branches Tags Activity
Star
Notifications

hustrlee/HUST-Verilog-Course

Branches Tags

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

63 Commits

Nexys4

Nexys4

 
 

Screenshot

Screenshot

 
 

instruction

instruction

 
 

lecture

lecture

 
 

manual

manual

 
 

.gitignore

.gitignore

 
 

LICENSE

LICENSE

 
 

README.md

README.md

 
 

install-guide.md

install-guide.md

 
 

Repository files navigation

华中科技大学计算机学院 Verilog 语言课程

这个项目是为华中科技大学计算机学院的 “Verilog 语言” 课程准备的,涵盖了课程须知、实验指南、以及所需要的其它材料。

目录

  • 课程目标
  • 教材、参考书籍
  • 课件及实验指导书
  • 实验须知
    • 硬件环境
    • 软件环境
    • License
    • 安装Vivado
  • Q&A
    • Q:连不上实验板怎么办?
    • Q:安装完毕后,仿真(Simulation)、综合(Synthesis)、实现(Implementation)等功能不能正常运行怎么办?

课程目标

  • 通过课程的学习,掌握 Verilog HDL 硬件描述语言的语法知识和程序结构
  • 掌握应用 Xilinx Vivado 进行 Verilog 程序设计和开发的基本流程和方法
  • 建立 Verilog 硬件设计的基本概念,会使用 Verilog 语言描述、设计简单的数字电路

教材、参考书籍

  • 教材:Verilog HDL 入门,第3版( PDF下载),(美)巴斯克著,夏宇闻、甘伟译,北京航空航天大学出版社,2008.
  • 参考书:EDA 技术实用教程 — Verilog 版(第五版),潘松、黄继业,科学出版社,2010.

课件及实验指导书

完整课件及实验指导书请在“超星学习通 - Verilog 语言”课程中下载。

教学安排

课次 授课类别 教学内容 课时
1 理论讲授/实验 - Verilog HDL 语言简介
- Verilog HDL 语言基础
- Vivado 的使用及设计流程(实验)
4 学时
2 理论讲授/实验 - Vivado 的使用及设计流程(实验)
- Verilog HDL 程序设计方法
- 简单组合电路/时序电路设计(实验)
4 学时
3 实验 - 简单组合电路/时序电路设计(实验) 4 学时
4 实验 - 简单组合电路/时序电路设计(实验) 4 学时
5 理论讲授/实验 - 简单数字电路设计
- 数据通路/有限状态机设计(实验)
4 学时
6 实验 - 数据通路/有限状态机设计(实验) 4 学时
7 实验 - 数据通路/有限状态机设计(实验) 4 学时
8 实验 - 数据通路/有限状态机设计(实验)
- educoder.net 实践教学平台练习(实验)
4 学时

实验须知

注意:课堂实验时间较短,请大家事先预习,才能更好地在课堂上完成实验

硬件环境

  • 实验需用到 DIGILENT 公司的 Nexys4 DDR FPGA Board,请同学自行到 8 楼实验室借用。
  • 实验板型号为 Nexy4 DDR,请大家注意领取的实验板型号(在盒子封面以及实验板的中心位置有标识)。
  • Nexy4 DDR 的约束文件及手册下载: Nexys4 DDR 约束文件、 Nexys4 DDR 手册

软件环境

  • 实验室软件环境为:Xilinx Vivado HLx Editions 2015.2(并非 Vivado 的最新版本)。
  • 若希望在自己的 PC 上安装 Vivado,可以在 Xilinx 网站下载安装该版本。
    • Vivado 只支持 Windows / Linux,并不支持 macOS,macOS 需安装虚拟机。
  • 最新版 Vivado 兼容本课程的所有实验,其使用界面与 2015.2 略有不同,适合有兴趣的同学自行摸索。
  • 各个版本的 Vivado 均可在“超星学习通 - 资料”中可以下载。
  • 也可以使用( Vivado 百度下载,提取码: xdnn)来加速下载。

License

  • Vivado 2015.2 需要 License 才能正常运行所有功能。

    • 用于实验室的 License 文件可以在“超星学习通 - 资料”中下载。
    • 安装完毕后,使用“Manage Xilinx License”应用程序中的“Load License - Copy License”功能来安装 License 文件。
  • 从 Vivado 2017.1 版本后,Xilinx 取消了 Webpack 版本的 License:

    • Webpack 版本无需 License 即可使用。
    • Webpack 版本在功能上没有缺失。
    • 相比 HLx 版本,Webpack 版支持的器件较少。
    • Webpack 版本包括本课程用到的 Xilinx Artix 7 系列 FPGA,完全满足课程学习的要求。

安装Vivado

  • 可以使用 Vivado 2020.2 版本,运行速度比较快。

  • 可以使用 Vivado 2018.2 版本,该版本的下载大小远远小于 Vivado 2020.2 版本。

  • 可以使用 Vivado 2015.2 版本,安装后需使用相应的 License 文件进行激活。

  • 安装过程请参考 “简明安装指南”。

Q&A

Q:连不上实验板怎么办?

连不上实验板有以下几种可能性:

1. Xilinx USB Cable Driver 挂死。

原因:由于没有按照正确的顺序插拔、开关实验板,可能导致 Xilinx USB Cable Driver 挂死。

解决方案:

  • 关闭实验板电源,将实验板从 USB 口拔下。
  • 重新启动 PC。
  • 将实验板插上,打开实验板电源开关。
  • 如还不能正确连接,尝试换一个 USB 接口。

2. 没有正确安装 Xilinx USB Cable Driver。

原因:在 Vivado 安装时,没有选中“安装 Xilinx USB Cable Driver”,或没有按照要求:在安装过程中拔下实验板。

解决方案:

  • 关闭实验板电源,将实验板从 USB 口拔下。
  • 重新启动 PC。
  • 单独安装 Xilinx USB Cable Driver,安装过程参考官方文档:
    • “在不全面重新安装 Vivado 设计套件的情况下,是否能够(重新安装)安装 Xilinx USB/Digilent 线缆驱动器?(中文)”
    • 或 "Is it possible to (re)install the Xilinx USB/Digilent cable drivers without a full reinstall of Vivado Design Suite? (English)"。

Q:安装完毕后,仿真(Simulation)、综合(Synthesis)、实现(Implementation)等功能不能正常运行怎么办?

1. 检查 Vivado 版本和 License

如果安装的是 Webpack 版,是不需要 License 的;如果安装的是 Design Edition 或 System Edition,则需要 License。建议安装 Webpack 版本。

2. 防病毒软件可能会导致安装不正确

360、腾讯电脑管家可能会阻止某些 .bat 文件的运行,阻止修改系统设置,从而导致 Vivado 安装不正确。建议:关闭防病毒软件后,重新安装 Vivado;在运行 Vivado 时,保持防病毒软件关闭。

About

华中科技大学计算机学院 Verilog 语言课程

Resources

Readme

License

Activity

Stars

44 stars

Watchers

0 watching

Forks

7 forks
Report repository

Releases

No releases published

Packages

No packages published

Footer

© 2024 GitHub, Inc.

两个鬼故事纪嫣然吉柏利给会议起个好听的名字国珍双飞燕鼠标按古诗串词起名字小网游cad2010破解版heianshengjing老婆跟我回家吧宝宝鼠起名字大全周易缘起名靠谱吗谢咏取名起名大全网站梦见死人又死了哪里的拼音高起本报名费多少tube8XXXHD土字旁适合起名字的字有哪些3699小游戏大全周易 公司起名取名起名男孩姓李两个字莫女孩取名起名酒的作文满分作文完整版含有风的诗句文具厂起名字玲珑电视剧免费观看全集在线边牧起名字名字大全装修起什么名字大全南通电信哈哈镜花缘少年生前被连续抽血16次?多部门介入两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”淀粉肠小王子日销售额涨超10倍高中生被打伤下体休学 邯郸通报单亲妈妈陷入热恋 14岁儿子报警何赛飞追着代拍打雅江山火三名扑火人员牺牲系谣言张家界的山上“长”满了韩国人?男孩8年未见母亲被告知被遗忘中国拥有亿元资产的家庭达13.3万户19岁小伙救下5人后溺亡 多方发声315晚会后胖东来又人满为患了张立群任西安交通大学校长“重生之我在北大当嫡校长”男子被猫抓伤后确诊“猫抓病”测试车高速逃费 小米:已补缴周杰伦一审败诉网易网友洛杉矶偶遇贾玲今日春分倪萍分享减重40斤方法七年后宇文玥被薅头发捞上岸许家印被限制高消费萧美琴窜访捷克 外交部回应联合利华开始重组专访95后高颜值猪保姆胖东来员工每周单休无小长假男子被流浪猫绊倒 投喂者赔24万小米汽车超级工厂正式揭幕黑马情侣提车了西双版纳热带植物园回应蜉蝣大爆发当地回应沈阳致3死车祸车主疑毒驾恒大被罚41.75亿到底怎么缴妈妈回应孩子在校撞护栏坠楼外国人感慨凌晨的中国很安全杨倩无缘巴黎奥运校方回应护栏损坏小学生课间坠楼房客欠租失踪 房东直发愁专家建议不必谈骨泥色变王树国卸任西安交大校长 师生送别手机成瘾是影响睡眠质量重要因素国产伟哥去年销售近13亿阿根廷将发行1万与2万面值的纸币兔狲“狲大娘”因病死亡遭遇山火的松茸之乡“开封王婆”爆火:促成四五十对奥巴马现身唐宁街 黑色着装引猜测考生莫言也上北大硕士复试名单了德国打算提及普京时仅用姓名天水麻辣烫把捣辣椒大爷累坏了

两个鬼故事 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化